Full Equipment List

3C Technical GCA AutoStep 200 5x Reduction Stepper

The GCA AutoStep 200 Stepper is an I-line 5x reduction stepper with repeat exposure capabilities. It is capable of submicron resolution and high accuracy alignment.

AJA ATC 2200 UHV Sputtering System

The AJA ATC 2200 Sputtering System is a PVD tool which deposits films on a substrate by a method known as sputtering. Magnetron sputtering uses accelerated, positively charged ions from a plasma source to deposit films with an increased deposition rate and more controlled electron bombardment than evident in other sputtering systems (such as diode sputtering).

AJA ATC Orion 8 UHV Sputtering System

The AJA ATC Orion 8 UVH Sputtering System is a PVD tool which deposits films on a substrate using magnetron sputtering. Magnetron sputtering uses accelerated, positively charged ions from a plasma source to deposit films with an increased deposition rate and more controlled election bombardment than evident in other sputtering systems (such as diode sputtering). 

Angstrom EvoVac Electron Beam Evaporator

The Angstrom EvoVac Electron Beam Evaporator is a PVD tool which evaporates high melting point materials, such as refractory metals and ceramics, using an electron beam. The EvoVac provides multiple sources of flexibility in its deposition system including resistive thermal evaporation, ion assisted and sputter deposition, as well as principal electron beam evaporation.

Angstrom Nexdep Thermal E-Beam Evaporator

The Angstrom Nexdep Thermal E-Beam Evaporator is a PVD tool that offers E-Beam and Thermal evaporation for a variety of high melting point substrates. The NexDep can be configured for a variety of PVD processes including resistive thermal evaporation, sputter and ion assisted deposition, and principal E-Beam and Thermal evaporation capacities. 

Bachur and Associates UV Flood Exposure System

The system’s exposure optics employ a proven, high performance optic train that employs an elliptical reflector, dielectric heat removing primary and secondary mirrors, a multi-element optical integrator system and collimating optics.  The optical integrator system produces non-coherent radiation that virtually eliminates the detrimental effects of diffraction.  These high intensity systems can resolve fine structures even in thick resist.  

Bruker Dimension Icon AFM

The Bruker Dimension Icon AFM (Atomic Force Microscope) is a very high resolution scanning microscope used in scanning microscopy (SPM) which produces three dimensional images. It is used for nanoscale surface topography, nano-mechanical, nano-electircal, and nano-scale chemical mapping, and morphology measurement.

Despatch LCC1-15-5 Resist Oven

The Despatch LCC1 Resist Oven are used in die-curing and other semiconductor packing processes. The ovens are configured for 50/60 Hz.

Disco DAD3240 Automatic Dicing Saw

The Disco DAD3240 Dicing Saw is a compact, manual, precision dicing saw with an automatic function which is common to the DAD3000 series. Its operating system and LCD touch panel allow for intuitive handling and it can cut through a range of materials, including difficult-to-cut materials essential to the nanofabrication process such as silicon.

EBARA ESR20N Vacuum Pump

The EBARA ESR20N Vacuum Pump is an ESR (Energy Saving Roots) multistage dry vacuum pump. It is engineered for clean to medium processes and has minimum nitrogren purge requirements with no nitrogen purge requirements for clean processes. The PNF uses a standardized set of EBARA pumps throughout the facility to reduce equipment downtime.

EBARA EV-A03-1 Vacuum Pump

The EBARA EV-A03-1 Vacuum Pump is a small, air cooled dry vacuum pump which offers high pumping speeds (9 cfm) and a no-contact design. The PNF uses a standardized set of EBARA pumps throughout the facility to reduce equipment downtime.

EBARA EV-A06-1 Vacuum Pump

The EBARA EV-A06-1 Vacuum Pump is an air cooled dry vacuum pump which offers high pumping speeds (21.6 cfm) and high water vapor pumping (350 g/hr) The PNF uses a standardized set of EBARA pumps throughout the facility to reduce equipment downtime.

EMS 1300 Critical Point Dryer

The procedure of critical point drying is an efficient method for drying delicate samples for SEM applications. The EMS 1300 Critical Point Dryer provides a clear view of the fluid level and visibility of the phase change at the critical point.

FEI Quanta 650 FEG SEM with Nabity Pattern Generator

The FEI Quanta 650 FEG SEM (Scanning Electron Microscope) is a variable pressure microscope used for high resolution imaging. It is capable of resolving features on a scale of less than 5 nm. It is equipped with a Field Emission Gun (FEG) which allows for bright-field and dark-field sample imaging. The SEM is equipped with a total of 8 detectors for the purpose of imaging and analysis as well as a Nabity Nanometer Pattern Generation System (NPGS) which allows for advanced electron beam lithography by means of the SEM.

Filmetrics F3 Film Thickness Spectral Reflectometer

The Filmetrics F3 Film Thickness Spectral Reflectometer is most commonly used to measure thin-film properties by adding thickness and refractive index software modules. The thickness and reactiveness can be measured in less than 3 seconds.

Fisher Scientific Isotemp FMS Refrigerator

The Fisher Scientific Isotemp FMS Refrigerator is use for safe storage of volatile materials, pharmaceuticals, clinical reagents, and biological samples.

Fisher Scientific Revco FMS Freezer

The Fisher Scientific Revco FMS Freezer is used for medical and scientific applications such as storage of reagents, pharmaceuticals, biologicals or other commonly used laboratory materials.

FMS Thin Film Stress Measurement System

The FMS Thin Film Stress Measurement System is used to test the stress of different films and substrates. It can also test the coefficient of thermal expansion (CTE) of films.

Heidelberg MLA150 Direct Write Lithographer

The Heidelberg Direct Write Lithography system is a flexible, high resolution pattern generator for direct writing.  The MLA150 creates the design and exposes it directly onto a wafer, no photomask is necessary. The system features environmental control, temperature stabilization, backside alignment, and a 150 x 150 mm2 exposure area. 

Horiba UVISEL 2 Spectroscopic Ellipsometer

The UVISEL 2 is an accurate and sensitive ellipsometer and includes a wide range of integrated automated features useful for the investigation of all materials. The UVISEL 2 is simple to operate and has the performance required for characterizing all current materials as well as the next generation of materials and structures.

IoN Wave 10 Plasma Asher

The IoN Wave 10 Plasma Asher is a mid-size wafer batch plasma microwave asher used for the removal of photoresists from etched wafers, wafer descum, and wafer cleaning prior to wet etching, among other applications. It is capable of high photoresist ashing rates with minimal exposure to electro static discharge (ESD).

K&S 4129 Wedge Bonder

The K&S 4129 Wedge Bonder is an aluminum and gold wire wedge bonder that is used for binding devices.

Keysight B1500A Semiconductor Device Analyzer

The Keysight B1500A Semiconductor Device Analyzer provides a wide range of measurement capabilities to cover the electrical characterization and evaluation of devices, materials, semiconductors, active/passive components, or virtually any other type of electronic device with uncompromised measurement reliability and efficiency.

KLA-Tencor P-7 Surface Profilometer

The KLA-Tencor P-7 Surface Profilometer is a research grade stylus profiler for surface metrology and measurement. The KLA-Tencor P-7 is software equipped with parameters for a wide range of measurements including depth, step height, roughness, waviness, slop, flatness, distance, bearing ratio, radius of curvature, and more.

Memsstar Orbis Alpha Oxide Etch System

The Memsstar Orbis Alpha Oxide Etch System is a small footprint, self-contained oxide etch system which utilizes hydrogen fluoride etchants along with memsstar’s unique patented high volume production process to enable researchers to develop production-capable processes for the next generation of MEMS devices. 

MiniPack Torre MVS-45X Vacuum Sealer

The Minipack MVS 45X chamber sealer is an industrial-level vacuum sealer designed to packaging products. The large 18.5" x 16" x 4.5" chamber allows plenty of room for packaging a variety of products. The basin is seamless, making it easy to clean.

Nikon Eclipse L200 Microscope

The Nikon Eclipse L200 Optical Microscope is a microscope capable of greater contrast, high resolving power and darkfield images up to three times brighter than other models. It can be used for the precise optical inspection of wafers, photo masks, reticles and other substrates.

Pfeiffer HiCube 80 Turbo Pumping Station

The HiCube 80 is a versatile, mobile Turbo Pimping Station. It uses a HiPace turbo pump as well as a backing pump that  are inter-coordinated for a wide range of applications and fast pump downtime.

Pfeiffer Vacuum ADIXEN ASM 380 Leak Detector

The ASM 380 is a high performance, mobile, leak detector. It has an ACP 40 dry backing pump and a high vacuum pump which allows for high testing sensitivity and short pump down times, even for large volumes.

Plasma-Therm Apex SLR HDPCVD

The Plasma-Therm Apex SLR is a High Density Plasma Chemical Vapor Deposition (HDPCVD) system. It uses an Inductively Coupled Plasma (ICP) source to generate higher density plasma than a PECVD system providing deposition at lower temperatures for higher quality, low temperature films. 

Plasma-Therm ICP Chlorine Etch

The Plasma-Therm ICP (Inductively Coupled Plasma) Chlorine Etch is an etching system which uses chlorine based chemistries to etch metal films and compound semiconductors. Chlorine plasma etching differs from Fluoride etching (see Plasma-Therm ICP Fluoride Etch) in respect of the chemistries, Chlorine and Fluoride based, used in each. 

Plasma-Therm ICP Fluoride Etch

The Plasma-Therm ICP (Inductively Coulped Plasma) Fluoride Etch is an etching system which uses fluoride solutions to etch metal films and compound semiconductors. Fluoride plasma etching differs from Chlorine etching (see Plasma-Therm ICP Chlorine Etch) in respect of the chemistries, Chlorine and Fluoride based, used in each. 

Plasma-Therm Versaline Deep Si RIE

The Plasma-Therm Versaline DSE (Deep Silicon Etcher) is a deep silicon etcher Plasma RIE that runs the Bosch Si process. It is primarily used for deep etching of MEMS structures in silicon. The Bosch process is used for the creation of deep, almost vertical, high aspect ratio structures and is an alternative to cryogenic chuck adapted ICP RIE systems and allows for deeper SI etches and a higher aspect ratio relative to these systems.

Plasma-Therm Vision 310 PECVD

The Plasma-Therm Vision 310 PECVD (Plasma Enhanced Chemical Vapor Deposition) system is a CVD system which utilizes plasma to significantly lower the temperatures at which a given film is deposited on a substrate. The Vision 310 can be used for the controlled deposition of SiNx, SiC, a-Si, and SiOxNy films, among others.

Plassys Electron Beam Evaporator

The Plassys Electron Beam Evaporator MEB550S is a state of the art tool for Electron Beam Evaporation, a speciality PVD process here at the PNF where electron beam processes are used in highly specialized nanofabrication to meet the diverse needs of PNF based researchers, students, and clients (see Angstrom EvoVac Electron Beam Evaporator and Angstrom NexDep Thermal Electron Beam Evaporator). Electron beam evaporation is one of two broad families of PVD processes, the other being Sputtering. The PNF can accomodate users interested in either (see AJA ATC 2200 UHV Sputtering System and AJA ATC Orion 8 UHV Sputtering System). 

Questar Q7800 Automatic Wedge Bonder

The Questar Q7800 Automatic Wedge Bonder is used for connecting wires in chips, sensors, and such.

Raith EBPG5000 Plus E-Beam Writer

The Raith EBPG5200 E-Beam lithography system is a high-performance nanolithography system used chiefly for write lithography and R&D mask making. It is the latest model in the EBPG series, preceded by the EBPG5150.

Signatone Checkmate CM21X Probe Station

The Signatone CheckMate Probe Station is an ultra-stable 200mm / 300mm analytical probe station with coarse and fine wafer stage movement to provide fast wafer movement as well as submicron resolution.

SUSS MJB4 Manual Mask Aligner

The MJB4 is a high precision manual mask aligner that works with small substrates, wafers, and pieces. It is frequently used for MEMS and optoelectronic applications. It can handle fragile III-V materials.

Themal Evaporator

This is a cool thermal evap

Tystar Mini-Tytan 4600 Furnace System

The Tystar Mini-Tytan 4600 Furnace system is designed for diffusion, oxidation, and LPCVD applications. The system consists of four vacuum tubes in one furnace stack. Two of these are atmospheric pressure tubes and the other two are low-pressure tubes. The Tystar 4600 can perform low-temperature oxide processes and the deposition of materials such as silicon nitride and polysilicon. The Tystar 4600 offers high process uniformity and incorporates some of the most advanced concepts in high-performance wafer processing.

Ultratech/Cambridge Fiji G2 Plasma-Enhanced ALD

The Ultratech Fiji G2 plasma system is a modular high-vacuum ALD (Atomic Layer Deposition) system that accommodates a wide range of deposition modes while using a flexible system architecture that permits multiple configurations of precursors and plasma gases. The result is a plasma ALD system that reproduces the thermal and plasma enhanced ALD films of the literature as well as providing experimental flexibility for future ALD innovations.

Ultratech/Cambridge Savannah ALD System

Ultratech/Cambridge Savannah ALD System is equipped with high-speed pneumatic pulse valves to enable thin film deposition on Ultra High Aspect Ratio substrates. This proven precision thin film coating methodology can be used to deposit conformal, uniform films on substrates with aspect ratios of greater than > 2000:1.

Ultron UH104 UV Curing System

The Ultron UH104 UV Curing System is used to toughen and strengthen polymer materials by cross-linking polymer chains. It accommodates up to 8" (200mm) wafers on film frames or grip rings and up to 12" (300mm) wafer capability.

Ultron UH114 Wafer/Frame Film Applicator

The Ultron UH114 Wafer/Frame Film Applicator is used in the process of slicing/ sawing applications in which lamination is crucial. This device ensures a bubble free lamination with adjustable features.

WAFAB Acid/Base Bench

The WAFAB Manual Acid/Base Bench is a non-automated front-acces wet bench designed to handle acidic and basic materials without degrading.

WAFAB Bottle Washer Bench

The WAFAB Manual Bottle Washer is a non-automated wet station designed for washing glassware used in various processes and dispoing of waster water.

WAFAB Cleaning Bench

The WAFAB Cleaning Bench is a non-automated front-access wet bench designed for cleaning wafers before further processing.

WAFAB Developer Bench

The WAFAB Manual Developer Bench is a non-automated front-access wet bench designed to handle chemicals used for developing photoresist.

WAFAB HF/TMAH Bench

The WAFAB Manual Solvent Bench is a non-automated front-access wet bench designed to handle hydrofluoric acid and tetramethylammonium hydroxide used in etching.

WAFAB Solvent Bench

The WAFAB Manual Solvent Bench is a non-automated front-access wet bench designed to handle solvents used for cleaning substrates.

WAFAB Toxic Corrosives Bench

The WAFAB Manual Toxic Corrosives Bench is a non-automated front-access wet bench deigned to handle, contain, and properly dispose of toxic and corrosive materials.

YES CV200 RFS Plasma Strip / Descum System

The YES CV200 RFS Plasma Strip / Descum System is used for the removal of thick layers of photoresist or polymide in short production times; accomodating the strict requirements of contemporary front-end semiconductor processing equipment. The YES CV200 RFS operates in two main modes, a powerful plasma stripping mode removes tough and / or thick resists while a gentler descum mode can be used to deal with the cleaning of inorganic substrates. 

YES G1000 Plasma Cleaning System

The YES G1000 Plasma Cleaning System is a plasma cleaning system used for the cleaning and low temperature descum of substrates, as well the surface modification and gentle cleaning of inorganic substrates. The use of plasma at a low generation frequency (40 kHz) in substrate cleaning allows for flexibility around cleaning processes, including, for example, the cleaning of electronically sensitive devices. The YES G1000 generates plasma between an active and grounded electrode plate, free electrons, created in the plasma field, are drawn down by the grounded plate allowing only active ions to pass through to clean components; the result is uniform and consistent processing which, as highlighted previously, leaves the electronic properties of cleaned components unchanged. 

YES-58TA Vacuum Bake/HMDS Vapor Prime and Image Reversal System

The YES Vapor Prime and Image Reversal Oven utilizes NHvapor to allow the creation of negative images using a positive photo-resist.